Wednesday 1 January 2014

VHDL Entity to Component Instantiation Converter

This page implements a web-based VHDL entity to component instantiation tool.

An example input entity listing already occurs in the input textarea. Note that the closing brackets for the generic and port must be on separate lines for the converter to work.



Input Entity Declaration







Output Component Instantiation

No comments:

Post a Comment